英特爾加速製程與封裝創新,逐年的創新步調,將從電晶體到系統層級,驅動具領導力的產品路線

coolaler

FANGBING LO (Robinson Lo)
已加入
9/17/03
訊息
53,077
互動分數
625
點數
113
位置
Taichung
網站
www.coolaler.com
英特爾加速製程與封裝創新

逐年的創新步調,將從電晶體到系統層級,驅動具領導力的產品路線
新聞集錦

揭曉製程與封裝創新路線規劃,為2025年及其之後的下一波產品注入動力。

2款突破式製程技術:RibbonFET為超過10年以來,英特爾首次全新電晶體架構,PowerVia則是業界首款由背部供電的方案。

透過Foveros Omni和Foveros Direct,持續引領先進3D封裝創新的領先地位。

正當我們進入半導體的埃米(angstrom)時代之際,新的節點命名方式創造具備一致性的框架,並給予客戶以及整個產業對於製程節點更為精確的認知。

首次宣布Intel Foundry Services(IFS,英特爾晶圓代工服務)的客戶。

2021年7月27日 – 英特爾公司今日首次詳盡揭露其製程與封裝技術的最新路線規劃,並宣布一系列基礎創新,為2025年及其之後的產品注入動力。除了首次發表全新電晶體架構RibbonFET外,尚有稱作PowerVia之業界首款背部供電的方案。英特爾亦強調迅速轉往下一世代EUV工具的計畫,稱之為高數值孔徑(High NA)EUV。英特爾有望獲得業界首款High NA EUV量產工具。

產業早已意識到,目前以奈米為基礎的製程節點命名方式,並不符合自1997年起採用閘極長度為準的傳統。英特爾今日公布其製程節點全新的命名結構,創造清晰並具備一致性的架構,給予客戶更為精確的製程節點認知。隨著英特爾成立Intel Foundry Services,這種重要性更勝以往。英特爾執行長基辛格(Pat Gelsinger)表示:今天所揭曉的各種創新,不僅開展了英特爾的產品路線規劃,它們對於我們晶圓代工的客戶也相當重要。


英特爾技術專家們以新的節點命名方式,詳述下列未來製程與效能藍圖規劃,以及各節點所具備的創新技術:

Intel 7:植基於FinFET(鰭式場效電晶體)最佳化,相較Intel 10nm SuperFin每瓦效能可提升大約10%~15%。Intel 7將會使用在2021年的Alder Lake用戶端產品,以及2022年第一季量產的Sapphire Rapids資料中心產品。

Intel 4:全面使用極紫外光(EUV)微影技術,透過超短波長的光,印製極小的形狀。伴隨每瓦效能提升約20%,以及面積改進,Intel 4將於2022下半年準備量產,2023年開始出貨,client用戶端Meteor Lake和資料中心Granite Rapids將率先採用。

Intel 3:進一步汲取FinFET最佳化優勢與提升EUV使用比例,以及更多的面積改進, Intel 3相較Intel 4約能夠提供18%的每瓦效能成長幅度。Intel 3將於2023下半年準備開始生產。

Intel 20A:以RibbonFET和PowerVia這2個突破性技術開創埃米(angstrom)時代。RibbonFET為英特爾環繞式閘極(Gate All Around)電晶體的實作成果,亦將是自2011年推出FinFET後,首次全新電晶體架構。此技術於較小的面積當中堆疊多個鰭片,於相同的驅動電流提供更快的電晶體開關速度。PowerVia為英特爾獨特、業界首次實作的背部供電,藉由移除晶圓正面供電所需迴路,以達最佳化訊號傳遞工作。Intel 20A預計將於2024年逐步量產。英特爾也很高興公布Qualcomm將採用Intel 20A製程技術。

2025與未來:Intel 20A之後,改良自RibbonFET的Intel 18A已進入開發階段,預計於2025年初問世,將為電晶體帶來另一次的重大性能提升。英特爾也正在定義、建立與佈署下一世代的EUV工具,稱之為高數值孔徑EUV,並有望獲得業界首套量產工具。英特爾正與ASML緊密合作,確保這項業界突破技術能夠成功超越當代EUV。


英特爾擁有基礎製程創新的悠久歷史,推動產業前進並破除限制。在90奈米領銜轉換至應變矽,於45奈米採用高介電常數金屬閘極,於22奈米導入鰭式場效電晶體,Intel 20A將會是另外一個製程技術的分水嶺,提供2個突破性創新:RibbonFET和PowerVia。

英特爾新的IDM 2.0策略,對於實現摩爾定律優勢而言,封裝變得越來越重要。英特爾宣布AWS將是第一個採用IFS封裝解決方案的客戶,並同步提供下列先進封裝藍圖規劃的遠見。

EMIB:自2017年產品出貨開始,以首款2.5D嵌入式橋接解決方案持續引領產業。Sapphire Rapids將會是首款量產出貨,具備EMIB (embedded multi-die interconnect bridge) 的Intel® Xeon®資料中心產品。它也會是業界首款具備4個方塊晶片的裝置,提供等同於單一晶片設計的效能。Sapphire Rapids之後,下一世代的EMIB將從55微米凸點間距降至45微米。

Foveros:汲取晶圓級封裝能力優勢,提供首款3D堆疊解決方案。Meteor Lake將會是Foveros在client用戶端產品實作的第二世代,其具備36微米凸點間距,晶片塊橫跨多種製程節點,熱設計功耗從5至125瓦。

Foveros Omni:採用晶片與晶片連結與模組化設計,提供不受限的靈活高效能3D堆疊技術。Foveros Omni允許混合多個頂層晶片塊與多個基底晶片塊,以及橫跨多種晶圓廠節點的分拆晶片(die disaggregation)設計,預計將於2023年準備大量生產。

Foveros Direct:為降低互連電阻,改採直接銅對銅接合技術,模糊了晶圓製造終點與封裝起點的界線。Foveros Direct能夠達成低於10微米的凸點間距,提升3D堆疊一個量級的互連密度,為原先被認為無法達成的功能性晶片分割開啟新頁。Foveros Direct是Foveros Omni的補充技術,同樣預計於2023年問世。


相關突破性技術,主要由英特爾位於美國奧勒岡州與亞利桑那州的工廠所開發,這些創新還更進一步吸引美國、歐洲的生態系合作夥伴共同參與。更多資訊將於Intel InnovatiON活動中公布。Intel InnovatiON將在2021年10月27日至28日於美國舊金山和線上同步舉辦,請上Intel ON網站查詢

更多資訊,請造訪英特爾新聞室或是投資人關係網站
 
▌延伸閱讀